当前位置: 首页 > news >正文

驻马店哪家做网站好网奇seo赚钱培训

驻马店哪家做网站好,网奇seo赚钱培训,企业云邮箱,做蛋糕视频的网站一 框图 二 波形图 三 代码 3.1 工程代码 module bomakiaguan (input [15:0] switch, // 输入16路拨码开关output reg [15:0] led // 输出16个LED灯 );always (switch) beginled < switch; // 将拨码开关的值直接赋给LED灯 end // 将拨码开关的值直接赋给LED灯 endmodu…

一 框图

二 波形图

三 代码

3.1 工程代码

module bomakiaguan (input [15:0] switch,  // 输入16路拨码开关output reg [15:0] led  // 输出16个LED灯
);always @(switch) beginled <= switch;  // 将拨码开关的值直接赋给LED灯
end
// 将拨码开关的值直接赋给LED灯
endmodule

3.2 仿真代码

module bomakiaguan_tb;reg [15:0] switch;  // 模拟输入,16位拨码开关wire [15:0] led;    // 模拟输出,16位LED灯bomakiaguan dut (.switch(switch),.led(led));// 初始化拨码开关的值initial beginswitch = 16'b0000000000000000;#5;switch = 16'b1111000011110000;#10;switch = 16'b0101010101010101;#15;switch = 16'b1111111111111111;#20;switch = 16'b0000000000000000;#25;$finish;end// 打印拨码开关和LED灯的值always @(switch, led) begin$display("Switch: %b, LED: %b", switch, led);endendmodule

http://www.yidumall.com/news/35964.html

相关文章:

  • 网站开发代码交接文档书西安网站搭建
  • 早教网站设计今天特大新闻最新消息
  • 网站开发的技术指标域名流量查询工具
  • 官方购物网站正品全媒体运营师培训费用
  • 建立网站的用处广告牌
  • 深圳疫情2023台州优化排名推广
  • 接入备案和增加网站优化搜索引擎的方法
  • 乌海做网站的公司seo排名优化代理
  • 微网站趋势肇庆seo优化
  • 叫人做网站要注意360指数查询工具
  • wordpress收费视频网站河南seo技术教程
  • 如何做网站清风制作营销型网站分析
  • 北京网站开发公司外贸建站与推广
  • 做竞彩网站代理犯法么引流推广软件
  • 好的网站搭建公司友情链接有哪些展现形式
  • 佛山城市建设工程有限公司镇海seo关键词优化费用
  • 专业做中文网站关键词优化seo费用
  • 做地方服务性网站百度手机app下载安装
  • seo 网站分析软文街官方网站
  • 阳江网站建设推广近期国际新闻20条
  • 深圳公司注册核名官网成都抖音seo
  • 做易购网站代写文章兼职
  • wordpress 全景插件seo优化工作怎么样
  • 做淘宝客建网站要多少费用站长之家官网入口
  • 建设网站方案百度浏览器app下载
  • win7用iis搭建网站app下载免费安装
  • wish网站应该怎么做微信营销平台
  • 南浔区住房和城乡建设网站网络推广企业
  • 做网站需要架构师吗网页搜索引擎优化技术
  • 什么行业做网站搜索爱站网 关键词挖掘工具站长工具