当前位置: 首页 > news >正文

免费招聘网站都有哪些爱站网关键词搜索工具

免费招聘网站都有哪些,爱站网关键词搜索工具,毛织厂家东莞网站建设,免费网站制作公司牛客网Verilog刷题——VL51 题目答案 题目 请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。模块的接口信号图如下: 模块的时序图…

牛客网Verilog刷题——VL51

  • 题目
  • 答案

题目

  请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。模块的接口信号图如下:

在这里插入图片描述
  模块的时序图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
setwireInput1置位指示信号,当该信号有效时,表示将输出信号强制置为set_num
set_numwireInput44比特信号,当set信号有效时,将该信号的数字赋予输出信号number
zeroregOutput1过零指示信号,当number计数到0时,该信号为1,其余时刻为0
numberregOutput44比特位宽,表示计数器的当前读数

答案

`timescale 1ns/1nsmodule count_module(input clk,input rst_n,input set,input [3:0] set_num,output reg [3:0]number,output reg zero);reg [3:0] r_number;always @(posedge clk or negedge rst_n)if(!rst_n)r_number <= 4'd0;else if(set)r_number <= set_num;else if(r_number == 4'd15)r_number <= 4'd0;elser_number <= r_number + 1'b1;always @(posedge clk or negedge rst_n) if(!rst_n)zero <= 1'b0;else if(r_number=='d0)zero <= 1'b1;elsezero <= 1'b0;always @(posedge clk or negedge rst_n) if(!rst_n)number <= 'd0;elsenumber <= r_number;endmodule
http://www.yidumall.com/news/87622.html

相关文章:

  • 西安火车站网站建设福州百度关键词优化
  • 阿里云 域名 做网站微信怎么引流营销呢
  • 沧州做网站价格快速排名网站
  • 程序员做的导航网站国际军事新闻
  • 免费领取手机网站小白如何学电商运营
  • 自己架设服务器做网站百度分析工具
  • 网站开发介绍费杭州推广公司排名
  • 做的网站怎样更新游戏代理怎么找渠道
  • 长滚动页网站怎么做的曲靖百度推广
  • 沭阳网站制作人民网舆情数据中心官网
  • 做文库类网站搜狗整站优化
  • 专业做校园文化的网站爱站网官网
  • 网站创建app自己怎么做网址
  • 图片网站如何做百度排名百度人工电话多少号
  • 北京企业网站设计公司2023年7 8月十大新闻
  • 电子商务网站运营与...网络营销包括的主要内容有
  • 如何创建自媒体手机网站设计网络营销方案
  • 淮安做网站找哪家好哪里有学电脑培训班
  • 年报申报入口湖南专业关键词优化
  • 阜宁专业做网站百度推广官网登录
  • 域名解析站长工具山东东营网络seo
  • 做网站怎样和客户沟通巨量引擎
  • 网站策划步骤如何制作网站链接
  • 德国和俄罗斯和做视频网站自助建站系统哪个好用
  • 博客网站哪个权重高app推广联盟平台
  • 移动网站建设规定广点通投放平台登录
  • 深圳营销型网站泉州seo排名扣费
  • 网页制作模板的含义和作用站长seo软件
  • 网站设计介绍怎么写整合营销传播方案
  • css网站模板下载网络宣传平台有哪些