当前位置: 首页 > news >正文

自己建设的网站靠谱吗百度竞价推广代理商

自己建设的网站靠谱吗,百度竞价推广代理商,wordpress 页面路径,营销型网站建设是什么使用 CLOCK_DEDICATED_ROUTE 约束 CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM 或 PLL 时使 用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM 或 PLL 对必须布局在相同…
使用 CLOCK_DEDICATED_ROUTE 约束
CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM PLL 时使
用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM PLL 对必须布局在相同的时钟区
域中。
注释: 在使用 UltraScale 器件工作时,勿在端口直接驱动的网络上应用 CLOCK_DEDICATED_ROUTE 属性。相反,将 CLOCK_DEDICATED_ROUTE 属性应用到 IBUF
输出。
当从一个时钟区域中的时钟缓存驱动垂直相邻时钟区域中的 MMCM PLL 时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 BACKBONE UltraScale
件的 CLOCK_DEDICATED_ROUTE 设置为 SAME_CMT_COLUMN 。这可以防止实现错误,并确保时钟仅使用全局时钟资源进行布线。以下示例显示了在垂直相邻时钟
区域中驱动两个 PLL 的时钟缓存。
set_property CLOCK_DEDICATED_ROUTE SAME_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X0Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X0Y4 [get_cells PLLE3_ADV_inst_1]
当从时钟缓存驱动到不垂直相邻的其他时钟区域时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 FALSE
UltraScale 器件的 ANY_CMT_COLUMN 设置为 CLOCK_DEDICATED_ROUTE 。这可以防止实现错误,并确保时钟仅使用
全局时钟资源进行布线。以下示例和图显示了驱动与输入缓存不在同一时钟区域列上的两个 PLL BUFGCE
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X1Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X1Y4 [get_cells PLLE3_ADV_inst_1]
http://www.yidumall.com/news/69982.html

相关文章:

  • 宠物网站模版游戏推广是什么工作
  • 视差滚动网站模板可以免费打广告的网站
  • 扁平化 wordpress 主题下载落实20条优化措施
  • 创新的购物网站建设网站优化包括哪些内容
  • 石家庄网站建设是什么意思行业关键词分类
  • 山西做网站怎么做电脑优化软件排行榜
  • 文化馆网站建设情况百度网盘客服
  • 有哪些免费的云服务器郴州网站seo外包
  • 免费下软件的网站成都优化网站哪家公司好
  • 网站后台修改的页面不能显示在线种子资源库
  • 网站建设公司都会有哪些花销seo推广什么意思
  • 西宁网站建设高端seo网络推广师招聘
  • 宣威网站建设淘宝店铺推广方法
  • 古城区建设局网站seo学校培训
  • node 做的网站后端腾讯云服务器
  • 淘外网站怎么做网络营销手段
  • 打开网站弹出广告代码小程序开发系统
  • 广东h5网站建设南平seo
  • 做汽车网站开题报告的意义seo推广专员工作好做吗
  • 绵阳网站建设百度联盟怎么赚钱
  • 全网通网站互联网营销专业
  • 没有网站 可以做百度口碑吗如何获取网站的seo
  • 公司开发个网站有哪些免费建网站哪家好
  • dhl做运单的网站蜜雪冰城推广软文
  • 南宁seo台州网站建设优化
  • 上海企业网站百度云网盘搜索引擎入口
  • 网站建设公司上海做网站公司排名中国营销型网站有哪些
  • 运城做网站的公司网络上哪里可以做推广
  • wordpress微电影模板深圳网站seo优化
  • 电脑软件推广联盟郑州seo博客