当前位置: 首页 > news >正文

6间房直播windows优化大师怎么使用

6间房直播,windows优化大师怎么使用,网站建设运营,长沙网站建设公司名单开源的 iverilog verilator 和商业软件动不动几G几十G相比,体积小的几乎可以忽略不计。 两个都比较好用,各有优势。 iverilog兼容性好。 verilator速度快。 配上gtkwave 看波形,仿真工具基本就齐了。 说下基本用法 计数器 counter.v module…

开源的 iverilog verilator 和商业软件动不动几G几十G相比,体积小的几乎可以忽略不计。
两个都比较好用,各有优势。
iverilog兼容性好。
verilator速度快。
配上gtkwave 看波形,仿真工具基本就齐了。

说下基本用法
计数器
counter.v

module counter(input wire clk,input wire rst_n,output reg[7:0] out
);always @(posedge clk or negedge rst_n)if(!rst_n)out <= 0;elseout <= out + 1;endmodule

testbench

test.v

module test;reg rst_n;
reg clk;
initial begin#10 rst_n = 1;#10 rst_n = 0;#10 rst_n = 1;#50 $finish;
endinitial begin$dumpfile("test.vcd");$dumpvars(0,test);$monitor("time=%t, out=%h",$time,out);
endalways #1 clk = !clk;wire[7:0] out;counter c1(clk, rst_n, out);endmodule

rst_n和clk故意未赋初值 能看出些区别。

先用iverilog

iverilog test.v counter.v

生成a.out
直接运行

./a.out

vvp a.out

会生成test.vcd
vcd全称 value change dump
从名字可以看出来用来记录数值变动的文件

gtkwave  test.vcd

在这里插入图片描述

可以看出由于clk没有初值,翻转后也不定。上面直接是红色的,xx不确定。

verilator 可以写个c++调用顶层,也可以不写,用个-binary参数,编译。

verilator -binary -trace test.v counter.v

注不加-trace编译运行后 不生成波形文件。

会在当前目录下创建 obj_dir,中间文件和生成的文件都在里面。
会生成一个以第一个verilog+V命名的可执行文件以上面为例 会生成Vtest
如果把counter放前面会生成Vcounter,运行

./obj_dir/Vtest 

当前目录下会生成test.vcd
在这里插入图片描述
可以看出直接已经帮你赋初值了

以下还用iverilog测试
clk 赋初值0
在这里插入图片描述

再给rst_n赋初值0 在这里插入图片描述
如果给rst_n 赋初值1
中间加个 #5 rst_n = 0;
在这里插入图片描述
可以看出,rst_n从开始至变0以前 out的值也是不确定的,上面都直接表示了出来

iverilog兼容性非常好,拿个老文件,基本直接就能编译通过。
但编译的比较慢,文件一多感受就非常明显了。同样一大运行的也慢。
verilator检查比较严格, 但是增量编译,动哪个编哪个,最后再链接。
各有优点吧。反正体积不大,都装上吧。

http://www.yidumall.com/news/36048.html

相关文章:

  • 深圳市公司有哪些公司app优化排名
  • 泉州市培训建设系统中心网站优化大师是什么
  • 什么是网站开发与建设免费一键搭建网站
  • 网站建设流程信息seo常规优化
  • 手机装修设计图制作软件成都seo公司
  • 做网站的挣钱么百度搜索指数查询
  • 如何做网站内链优化seo经理招聘
  • 网站开发的设计思路旅游seo整站优化
  • b站直播网络营销毕业论文8000字
  • 山东网站建设优化技术电商平台app大全
  • 网络营销策划论文seo前景
  • 我的世界服务器如何做充钱网站百度竞价有点击无转化
  • 南宁营销型网站设计seo是什么服
  • 在网上做试卷的网站十大推广app平台
  • 个体工商户做的网站能推广吗郑州热门网络推广免费咨询
  • 做c语言的题目的网站网页优化seo公司
  • 手机搜索和网站搜索的排名中央电视台新闻联播
  • 一那个网站可以做一建题企业网站设计与推广
  • 下载网站的搭建万网域名注册
  • wordpress $wpdb insertid云南seo公司
  • 深圳做微商网站制作网址大全导航
  • 重庆网站建设公司魁网免费一键搭建网站
  • 动态网站制作文案永久免费建站系统
  • 免费下ppt课件的网站什么是sem
  • 织梦网站 数据库aso关键词搜索优化
  • 16岁做分期网站百度推广怎么运营
  • 黄山旅游攻略四日游芭嘞seo
  • 教育类网站框架中国品牌策划公司排名
  • 西安的网页设计公司排名安卓优化大师官方版本下载
  • 潍坊专业网络营销海口seo快速排名优化