当前位置: 首页 > news >正文

axure做网站原型图推广方案是什么

axure做网站原型图,推广方案是什么,网站后台可以备份吗,wordpress添加百度地图吗一 框图 二 波形图 三 代码 3.1 工程代码 module bomakiaguan (input [15:0] switch, // 输入16路拨码开关output reg [15:0] led // 输出16个LED灯 );always (switch) beginled < switch; // 将拨码开关的值直接赋给LED灯 end // 将拨码开关的值直接赋给LED灯 endmodu…

一 框图

二 波形图

三 代码

3.1 工程代码

module bomakiaguan (input [15:0] switch,  // 输入16路拨码开关output reg [15:0] led  // 输出16个LED灯
);always @(switch) beginled <= switch;  // 将拨码开关的值直接赋给LED灯
end
// 将拨码开关的值直接赋给LED灯
endmodule

3.2 仿真代码

module bomakiaguan_tb;reg [15:0] switch;  // 模拟输入,16位拨码开关wire [15:0] led;    // 模拟输出,16位LED灯bomakiaguan dut (.switch(switch),.led(led));// 初始化拨码开关的值initial beginswitch = 16'b0000000000000000;#5;switch = 16'b1111000011110000;#10;switch = 16'b0101010101010101;#15;switch = 16'b1111111111111111;#20;switch = 16'b0000000000000000;#25;$finish;end// 打印拨码开关和LED灯的值always @(switch, led) begin$display("Switch: %b, LED: %b", switch, led);endendmodule

http://www.yidumall.com/news/31638.html

相关文章:

  • 顺德网站建设怎么样seo行业岗位有哪些
  • 分销网络设计案例网络优化培训
  • 爬取wordpress文章关键词如何优化排名
  • 无极电影网免费完整播放电视剧seo网站优化培训班
  • 学做室内效果图的网站专业郑州企业网站建设
  • wordpress自动生成网站地图外贸网站建设设计方案
  • 南京网站搜索优化seo关键词推广
  • 怎么做提高网站排名网站关键词优化有用吗
  • 做手机网站要注意短链接购买
  • net网站建设语言aso优化{ }贴吧
  • 门户网站html模板下载如何制作一个网页
  • 做网站现在什么最赚钱吗简阳seo排名优化课程
  • web前端开发视频教程google seo
  • 房地产广告设计网站广州做网站的公司哪家好
  • 做vi网站网站推广引流
  • 做佛像在什么网站发贴效果好点友情链接样式
  • 郑州seo团队移动端seo关键词优化
  • dede后台网站主页头条指数
  • 沈阳外贸网站制作公司磁力搜索器下载
  • html和php做网站哪个好今日国际新闻头条15条
  • 购物网站开发中查看订单的实现逻辑最近在线直播免费观看
  • 做网站充值系统网站需要怎么优化比较好
  • 做英文网站多少钱抚州seo外包
  • 做网站不赚钱了百度搜索引擎首页
  • 网站建设与推广的实训报告lpl赛区战绩
  • 哪些行业没有做网站怎么做网站主页
  • 山西响应式网页建设哪家有星乐seo网站关键词排名优化
  • 息县网站建设查网站是否正规
  • 萍乡公司做网站济南seo顾问
  • 攸县网站定制新手小白怎么做跨境电商