当前位置: 首页 > news >正文

wordpress mono-lab百色seo外包

wordpress mono-lab,百色seo外包,短链接生成下载,网站备案修改域名DC对Verilog和SystemVerilog语言的支持 设计语言用哪种?Design Compiler对二者的支持简单的fsm电路测试测试结果对比写在最后 设计语言用哪种? 直接抛出结论:先有电路,后为描述。设计端而言,没有语言的高低好坏&#…

DC对Verilog和SystemVerilog语言的支持

  • 设计语言用哪种?
  • Design Compiler对二者的支持
  • 简单的fsm电路测试
  • 测试结果对比
  • 写在最后

设计语言用哪种?

  1. 直接抛出结论:先有电路,后为描述
  2. 设计端而言,没有语言的高低好坏,只有描述的精准与否。本文的实验结果很好的说明了这一点。

Design Compiler对二者的支持

  1. 我们在Design Compiler中读入命令有这样的选项,支持包括v和sv在内的三种主流硬件描述语言。
    在这里插入图片描述

简单的fsm电路测试

  1. 分别给出一个简单的FSM电路的V代码和SV代码。并基于SAED32nm学习用工艺库来做DCT的实现。
    代码如下

module fsm(clk,rst_n,x,y
);
input  clk;
input  rst_n;
input  x;
output y;parameter A = 3'd0,B = 3'd1,C = 3'd2,D = 3'd3,E = 3'd4;reg [2:0] cur_state,nxt_state;always @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways @(*) beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule
module fsm(clk,rst_n,x,y
);
input logic clk;
input logic rst_n;
input logic x;
output logic y;typedef enum logic [2:0] {A,B,C,D,E} State;State cur_state,nxt_state;always_ff @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways_comb beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule

测试结果对比

  1. 我们对二者进行相同的综合环境设置并读入设计
    请添加图片描述请添加图片描述
  2. 分析结果如下:
    sv电路图结果如图所示:
    请添加图片描述
    v电路图描述结果如下图所示:
    请添加图片描述

写在最后

  1. 从上一节的结果来看,综合工具DC对两种语言的支持都是比较完美的,因此还是回归那句话,先有电路,后为描述
http://www.yidumall.com/news/29062.html

相关文章:

  • 公司法徐州新站百度快照优化
  • 哪个网站专门做二手的公关团队
  • 怎么用word做网站杭州小程序建设公司
  • 网站建设 盘网互联展示型网站有哪些
  • 成都网站品牌设计策划seo网络优化前景怎么样
  • 网站建设源代码百度推广客户端app
  • 网站建设业务好做吗百度快速优化推广
  • 大疆网站建设西安百度推广电话
  • 手机网站解决方案视频营销的策略与方法
  • 路由器怎么做网站企业网站建站模板
  • 大型门户网站建设效果怎么样国内免费域名注册
  • 网站建设用英语怎么说郑州网站建设哪里好
  • wordpress+模版仿米拓重庆seo多少钱
  • 租用外国服务器赌博网站建设seo快速工具
  • 国家企业注册信息查询开封seo公司
  • cdr做网站怎么导出百度seo不正当竞争秒收
  • 杭州做网站小程序多少钱衡水seo排名
  • 拖拽式网站朝阳网络推广
  • 网站整站下载器 下载后台百度联盟点击广告赚钱
  • 怎样做美瞳代购网站苏州百度快速排名优化
  • 网站建设论文参考文献希爱力
  • 山西大同网站建设哪家好网站怎么制作免费的
  • 做网站需要的大图推广策略可以分为哪三种
  • wordpress做社区网站如何在百度上发自己的广告?
  • 东莞网站设计制作长沙seo排名优化公司
  • 文登住房和城乡建设局网站搜狗官网
  • 企业网站导航设计推广方案模板
  • 昌平网站制作深圳谷歌网络推广公司
  • 两学一做 网站十大免费excel网站
  • 广州自助网站搭建制作公司seo网上课程