当前位置: 首页 > news >正文

做网站的案例手机网站模板建站

做网站的案例,手机网站模板建站,做神马网站优化快速,网站导航html源码牛客网Verilog刷题——VL51 题目答案 题目 请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。模块的接口信号图如下: 模块的时序图…

牛客网Verilog刷题——VL51

  • 题目
  • 答案

题目

  请编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号zero,当置位信号set 有效时,将当前输出置为输入的数值set_num。模块的接口信号图如下:

在这里插入图片描述
  模块的时序图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
setwireInput1置位指示信号,当该信号有效时,表示将输出信号强制置为set_num
set_numwireInput44比特信号,当set信号有效时,将该信号的数字赋予输出信号number
zeroregOutput1过零指示信号,当number计数到0时,该信号为1,其余时刻为0
numberregOutput44比特位宽,表示计数器的当前读数

答案

`timescale 1ns/1nsmodule count_module(input clk,input rst_n,input set,input [3:0] set_num,output reg [3:0]number,output reg zero);reg [3:0] r_number;always @(posedge clk or negedge rst_n)if(!rst_n)r_number <= 4'd0;else if(set)r_number <= set_num;else if(r_number == 4'd15)r_number <= 4'd0;elser_number <= r_number + 1'b1;always @(posedge clk or negedge rst_n) if(!rst_n)zero <= 1'b0;else if(r_number=='d0)zero <= 1'b1;elsezero <= 1'b0;always @(posedge clk or negedge rst_n) if(!rst_n)number <= 'd0;elsenumber <= r_number;endmodule
http://www.yidumall.com/news/10503.html

相关文章:

  • 重庆欧勒精细陶瓷有限公司网站策划书soe搜索优化
  • 做翻译赚钱的网站好百度升级最新版本下载安装
  • 深圳布吉网站建设百度推广按效果付费是多少钱
  • 找美工做网站多少钱怎么开网站平台挣钱
  • 淘宝不能开网站建设店铺吗什么软件可以刷网站排名
  • 模板网站免费下载正规seo多少钱
  • 如何进行网站管理免费发布信息的平台有哪些
  • 网站推广好不好百度seo服务
  • 做游戏CG分享的网站网络游戏推广公司
  • 郑州北环网站建设培训如何快速提升自己
  • 网站后台管理系统 asp代写
  • 帮别人做钓鱼网站 公安淘宝推广费用多少钱一天
  • 商城网站开发费用青岛网站建设技术外包
  • 漫画风格网站志鸿优化设计
  • 电子商务网站建设开发文档seo sem是指什么意思
  • 昆山专业网站建设公司企业查询网站
  • 有做网站看病的吗怎么进行网站推广
  • 睢县做网站的公司今天最新新闻国内大事件
  • 网站建设预估费用病毒什么时候才能消失
  • 朔城网站制作在线识别图片找原图
  • 快站怎么搭建淘客链接百度的seo排名怎么刷
  • 做网站一定要买服务器么优化大师官网
  • 网站建设时送的ppt方案如何优化网页
  • 汕头市建设信息网成都seo培
  • 中国风网站设计搜狗优化排名
  • 宝塔建站详细教程360推广登陆
  • 门户网站系统建设招标文件百度扫一扫网页版
  • 中国菲律宾关系现状网站优化北京seo
  • 盐城网站建设谷歌seo是什么
  • 做网站买了域名之后百度空间登录