当前位置: 首页 > news >正文

企业信息化管理平台百度快照优化推广

企业信息化管理平台,百度快照优化推广,Wordpress一写文章就卡了,没有官方网站怎么做seo优化LV6_多功能数据处理器 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a…

LV6_多功能数据处理器

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;当select信号为1,输出b;当select信号为2,输出a+b;当select信号为3,输出a-b.
接口信号图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的有符号数

select:2bit位宽的无符号数

输出描述:

c:9bit位宽的有符号数

代码

`timescale 1ns/1ns
module data_select(input clk,input rst_n,input signed[7:0]a,input signed[7:0]b,input [1:0]select,output reg signed [8:0]c
);
//*************code***********//
/*代码思路:case(select)来执行不同的输出
select = 0: 输出a
select = 1: 输出b
select = 2: 输出a+b	
select = 3: 输出a-b*/always @(posedge clk or negedge rst_n) beginif(!rst_n)c <= 0;else begincase (select)2'd0: begin c <= a;end2'd1: begin c <= b;end2'd2: begin c <= a + b;end2'd3: begin c <= a - b;endendcaseendend//*************code***********//
endmodule
http://www.yidumall.com/news/104656.html

相关文章:

  • 公司怎么做网站平台网图搜索识别
  • 山东省城建设计院网站泰安seo培训
  • 如何编辑做网站宁德seo推广
  • wordpress js加载速度win7系统优化
  • 兰州科技公司有哪些电商seo优化
  • 十堰互联网公司小程序seo推广技巧
  • 杭州制作网页公司合肥网站关键词优化公司
  • wordpress+站群软件网络推广的工作内容是什么
  • 镇江做网站的公司站长工具seo综合查询是什么
  • 云浮新兴县做网站网站制作开发
  • 小企业网站建设口碑泰安网络推广培训
  • 宝安网站设计流程西安seo管理
  • 政府网站开发成都网站建设方案外包
  • 手机网站设计论文推广软文平台
  • 政府 网站 下载百度seo公司报价
  • 南京行业网站建设百度怎么推广自己的视频
  • asp自动获取网站快照 网站缩略图培训机构排名全国十大教育机构排名
  • 成都微信网站建设推广推广产品的软文怎么写
  • 深圳中心网站建设百度搜索排行榜风云榜
  • 网站建设的职称蚌埠网络推广
  • 湖南网站建设小公司北京seo学校
  • 昆明做网站魄罗科技网盘手机app官网下载
  • xp花生壳做自己的网站产品网络推广方式
  • 中国icp备案的有多少企业网站老师直播课
  • 政府网站建设评分标准如何在百度上做产品推广
  • ios wordpress fixed快排seo排名软件
  • 做网站 卖会员网络营销具有哪些优势和吸引力
  • 无锡网站设计公司排名合肥网站制作
  • 新产品招区域总代理免费检测网站seo
  • .org做商业网站今日热点新闻事件2022